type
status
slug
summary
tags
category
password
date
icon
🐳
写在前面: 在完成数逻实验的过程中,我们会清楚的发现使用verilog会大大缩减我们完成project的时间,大幅度的提升了我们的效率,从而避免我们将时间浪费在了画实验图的过程中,因此下面是我的verilog学习笔记,学习的资料在于verilog菜鸟教程,然后在每周做lab的过程中进行实践。

📝 Verilog学习笔记

1.1 verilog入门

🌎
Verilog HDL(简称 Verilog )是一种硬件描述语言,用于数字电路的系统设计。可对算法级、门级、开关级等多种抽象设计层次进行建模。
Verilog 继承了 C 语言的多种操作符和结构,与另一种硬件描述语言 VHDL 相比,语法不是很严格,代码更加简洁,更容易上手。
Verilog 不仅定义了语法,还对语法结构都定义了清晰的仿真语义。因此,Verilog 编写的数字模型就能够使用 Verilog 仿真器进行验证。
当用 Verilog 设计完成数字模块后进行仿真时,需要在外部添加激励,激励文件叫 testbench。有时 testbench 设计可能比数字模块本身都复杂。
下面定义一个4位10进制计数器:

1.2 verilog简介

Verilog 具有很强的电路描述与建模能力,能从多个层次对数字系统进行描述和建模。因此,在简化硬件设计任务、提高设计效率与可靠性、语言易读性、层次化和结构化设计等方面展现了强大的生命力与潜力。
🏹
Verilog的主要特性:
  1. 采用三种不同的方式进行建模:
    1. 行为级描述—使用过程化结构建模
    2. 数据流描述—连续赋值语句建模
    3. 结构化模式—使用门和模块例化语句描述
  1. 两类数据结构:
    1. 线网 wire 物理元件之间的连线
    2. 寄存器 res 抽象的数据储存元件
  1. 可以用于生成模拟奖励和指定测试的约束条件
  1. 设计逻辑功能的时候,不需要考虑影响工艺的条件:温度,工艺等
  1. 组合逻辑或者是时序逻辑
👘
Verilog的主要应用:
专用集成电路(ASIC),就是具有专门用途和特殊功能的独立集成电路器件。
Verilog 作为硬件描述语言,主要用来生成专用集成电路。
主要通过 3 个途径来完成:
1、可编程逻辑器件
FPGA 和 CPLD 是实现这一途径的主流器件。他们直接面向用户,具有极大的灵活性和通用性,实现快捷,测试方便,开发效率高而成本较低。
2、半定制或全定制 ASIC
通俗来讲,就是利用 Verilog 来设计具有某种特殊功能的专用芯片。根据基本单元工艺的差异,又可分为门阵列 ASIC,标准单元 ASIC,全定制 ASIC。
3、混合 ASIC
主要指既具有面向用户的 FPGA 可编程逻辑功能和逻辑资源,同时也含有可方便调用和配置的硬件标准单元模块,如CPU,RAM,锁相环,乘法器等。

1.3 Verilog环境搭建

FPGA 开发环境有 Xilinx 公司的 ISE(目前已停止更新),VIVADO;因特尔公司的 Quartus II;ASIC 开发环境有 Synopsys 公司的 VCS ;
其中ZJU目前使用的是ISE,只能在Windows10及以下的设备中完成搭建, 对mac&Windows11用户不太友好,可能之后ZJU会换成vivado,正确的选择

1.4 Verilog设计方法

Verilog的设计大多采用的是自上而下的设计方法 top-down
📌
先定义顶层模块功能,进而分析要构成顶层模块的必要子模块,然后进一步对各个模块进行分解和设计,直到到达分解到无法分解的底层功能模块为止
经过上面的自上而下的操作之后,极大的提高了设计的速度,缩短了开发周期。
notion image
设计流程
notion image
正确地分析了用户的电路需求后,就可以进行逻辑功能的总体设计,设计整个电路的功能、接口和总体结构,考虑功能模块的划分和设计思路,各子模块的接口和时序(包括接口时序和内部信号的时序)等,向项目组成员合理分配子模块设计任务。
综合(synthesize),就是在标准单元库和特定的设计约束的基础上,将设计的高层次描述(Verilog 建模)转换为门级网表的过程。逻辑综合的目的是产生物理电路门级结构,并在逻辑、时序上进行一定程度的优化,寻求逻辑、面积、功耗的平衡,增强电路的可测试性。但不是所有的 Verilog 语句都是可以综合成逻辑单元的,例如时延语句。
布局布线后,电路模型中已经包含了时延信息。利用在布局布线中获得的精确参数,用仿真软件验证电路的时序。单元器件的不同、布局布线方案都会给电路的时序造成影响,严重时会出现错误。出错后可能就需要重新修改 RTL(寄存器传输级描述,即 Verilog 初版描述),重复后面的步骤。这样的过程可能反复多次,直至错误完全排除。

2.1 Verilog基础语法

📌
Verilog 是区分大小写的。
格式自由,可以在一行内编写,也可跨多行编写。
注释方式与c语言的相同
每个语句必须以分号为结束符。空白符(换行、制表、空格)都没有实际的意义,在编译阶段可忽略。
👆是建议的verilog书写方式
  • 标志符
标识符(identifier)可以是任意一组字母、数字、$ 符号和 _(下划线)符号的合,但标识符的第一个字符必须是字母或者下划线,不能以数字或者美元符开始。
标志符是区分大小写的
  • 关键字
关键字是 Verilog 中预留的用于定义语言结构的特殊标识符。
在verilog中关键字全是小写的
  • verilog数值表示
Verilog HDL 有下列四种基本的值来表示硬件电路中的电平逻辑:
  • 0:逻辑0或假
  • 1:逻辑1或真
  • x或X:逻辑未知
  • z或Z:高阻
x意味着信号数值的不确定,即在实际电路里,信号可能为 1,也可能为 0。
z意味着信号处于高阻状态,常见于信号(input, reg)没有驱动时的逻辑结果。例如一个 pad 的 input 呈现高阻状态时,其逻辑值和上下拉的状态有关系。上拉则逻辑值为 1,下拉则为 0 。
🌴
数字声明时,合法的基数格式有 4 中,包括: 十进制('d 或 'D), 十六进制('h 或 'H), 二进制('b 或 'B), 八进制('o 或 'O)。数值可指明位宽,也可不指明位宽。
  • 指明位宽:
    • 不指明位宽:
      • 负数表示:
        • 实数表示方法
          • 十进制
          • 科学计数法
          • 字符串表示方法
            • 字符串是由双引号包起来的字符队列。字符串不能多行书写,即字符串中不能包含回车符。

        2.3 verilog数据类型

        Verilog最常用的 2 种数据类型就是线网(wire)与寄存器(reg),其余类型可以理解为这两种数据类型的扩展或辅助。
        1. 线网 wire
          1. wire 类型表示硬件单元之间的物理连线,由其连接的器件输出端连续驱动。如果没有驱动元件连接到 wire 型变量,缺省值一般为 "Z"。
        1. 寄存器 reg
          1. 寄存器(reg)用来表示存储单元,它会保持数据原有的值,直到被改写。声明举例如下:
            例如在 always 块中,寄存器可能被综合成边沿触发器,在组合逻辑中可能被综合成 wire 型变量。寄存器不需要驱动源,也不一定需要时钟信号。在仿真时,寄存器的值可在任意时刻通过赋值操作进行改写。例如:
        1. 向量
            • 当位宽大于 1 时,wire 或 reg 即可声明为向量的形式。例如:
              • 对于上面的向量,我们可以指定某一位或若干相邻位,作为其他逻辑使用。例如:
                Verilog 支持可变的向量域选择,例如:
                Verillog 还支持指定 bit 位后固定位宽的向量域选择访问。
              • [bit+:width] 从起始 bit 位开始递增,位宽为 width。
              • [bit-:width] 从起始 bit 位开始递减,位宽为 width。
              • 对信号重新进行组合成新的向量时,需要借助大括号。例如:
        1. 整数,实数,时间寄存器变量
          1. 整数,实数,时间等数据类型实际也属于寄存器类型。
            整数(integer)
            整数类型用关键字 integer 来声明。声明时不用指明位宽,位宽和编译器有关,一般为32 bit。reg 型变量为无符号数,而 integer 型变量为有符号数。例如:
            此例中,integer 信号 j 作为辅助信号,将 data1 的数据依次赋值给数组 byte1。综合后实际电路里并没有 j 这个信号,j 只是辅助生成相应的硬件电路。
            实数(real)
            实数用关键字 real 来声明,可用十进制或科学计数法来表示。实数声明不能带有范围,默认值为 0。如果将一个实数赋值给一个整数,则只有实数的整数部分会赋值给整数。例如:(不会四舍五入)
            时间(time)
            Verilog 使用特殊的时间寄存器 time 型变量,对仿真时间进行保存。其宽度一般为 64 bit,通过调用系统函数 $time 获取当前仿真时间。例如:

            在 Verilog 中允许声明 reg, wire, integer, time, real 及其向量类型的数组。
            • 数组维数没有限制。线网数组也可以用于连接实例模块的端口。数组中的每个元素都可以作为一个标量或者向量,以同样的方式来使用,形如:<数组名>[<下标>]。对于多维数组来讲,用户需要说明其每一维的索引。例如:
            • 下面是对数组进行赋值的操作:
            ⚠️
            注意: 数组和向量在很多的地方上有相似的地方,但是请不要把他们弄混淆: 1. 向量是一个单独的元件,位宽是n 2. 数组是由多个元件组成,其中每一个元件的位宽是1或者是n

        存储器

        存储器变量就是一种寄存器数组,可用来描述 RAM 或 ROM 的行为。例如:

        参数

        参数用来表示常量,用关键字 parameter 声明,只能赋值一次。例如:
        局部参数用 localparam 来声明,其作用和用法与 parameter 相同,区别在于它的值不能被改变。所以当参数只在本模块中调用时,可用 localparam 来说明。

        字符串

        字符串保存在 reg 类型的变量中,每个字符占用一个字节(8bit)。因此寄存器变量的宽度应该足够大,以保证不会溢出。
        字符串不能多行书写,即字符串中不能包含回车符。如果寄存器变量的宽度大于字符串的大小,则使用 0 来填充左边的空余位;如果寄存器变量的宽度小于字符串大小,则会截去字符串左边多余的数据。例如,为存储字符串 "run.runoob.com", 需要 14*8bit 的存储单元:
        有一些特殊字符在显示字符串中有特殊意义,例如换行符,制表符等。如果需要在字符串中显示这些特殊的字符,则需要在前面加前缀转义字符 \ 。例如下表所示:
        \n
        换行
        \t
        制表符
        %%
        %
        \"
        \ooo
        1到3个8进制数字字符
        \
        \

        2.4 verilog表达式

        • 表达式
          • 表达式是由操作符和操作数组成,表达式可以在任何数值出现的地方进行使用
            下面是一个例子
        • 操作数
          • 操作数可以是任意的数据类型,只是某些特定的语法结构要求使用特定类型的操作数。
            操作数可以为常数,整数,实数,线网,寄存器,时间,位选,域选,存储器及函数调用等。
        • 操作符
          • verilog中提供了大约9种操作符,分别是:算术,关系,等价,逻辑,按位,归约,移位,拼接,条件操作符等等
            其中大部分操作符与C语言中的类似
            同性操作符之间,除条件操作符从右向左进行连接的,其余都是从左向右进行连接的
            不同操作符之间,优先级是不同的。
            下面是操作符优先级从高到低的排列顺序
            ♻️
            如果在不确定优先级的时候,最好还是使用圆括号将表达式分割开
            操作符
            操作符号
            优先级(递减顺序)
            单目运算
            +-!~
            最高
            乘除取模
            */%
            加减
            +-
            移位
            << >>
            关系
            < ≤ > ≥
            等价
            == ≠
            归约
            & ^ |
            逻辑
            && ||
            条件
            ?:
            最低
        • 算术运算符
          • 算术操作符包括单目运算符和双目运算符
            如果操作数某一位为 X,则计算结果也会全部出现 X。例如:
            对变量进行声明时,要根据变量的操作符对变量的位宽进行合理声明,不要让结果溢出。上述例子中,相加的 2 个变量位宽为 4bit,那么结果寄存器变量位宽最少为 5bit。否则,高位将被截断,导致结果高位丢失。无符号数乘法时,结果变量位宽应该为 2 个操作数位宽之和。
            + 和 - 也可以作为单目操作符来使用,表示操作数的正负性。此类操作符优先级最高。
            负数表示时,可以直接在十进制数字前面增加一个减号 -,也可以指定位宽。因为负数使用二进制补码来表示,不指定位宽来表示负数,编译器在转换时,会自动分配位宽,从而导致意想不到的结果。例如:

        关系运算符

        关系操作符有大于(>),小于(<),大于等于(>=),小于等于(<=)。
        关系操作符的正常结果有 2 种,真(1)或假(0)。
        如果操作数中有一位为 x 或 z,则关系表达式的结果为 x。
        实例

        等价操作符

        等价操作符包括逻辑相等(==),逻辑不等(!=),全等(===),非全等(!==)。
        等价操作符的正常结果有 2 种:为真(1)或假(0)。
        逻辑相等/不等操作符不能比较 x 或 z,当操作数包含一个 x 或 z,则结果为不确定值。
        全等比较时,如果按位比较有相同的 x 或 z,返回结果也可以为 1,即全等比较可比较 x 或 z。所以,全等比较的结果一定不包含 x。举例如下:

        逻辑操作符

        逻辑操作符主要有 3 个:&&(逻辑与), ||(逻辑或),!(逻辑非)。
        逻辑操作符的计算结果是一个 1bit 的值,0 表示假,1 表示真,x 表示不确定。
        如果一个操作数不为 0,它等价于逻辑 1;如果一个操作数等于 0,它等价于逻辑 0。如果它任意一位为 x 或 z,它等价于 x。
        如果任意一个操作数包含 x,逻辑操作符运算结果不一定为 x。
        逻辑操作符的操作数可以为变量,也可以为表达式。例如:

        按位操作符

        按位操作符包括:取反(~),与(&),或(|),异或(^),同或(~^)。
        按位操作符对 2 个操作数的每 1bit 数据进行按位操作。
        如果 2 个操作数位宽不相等,则用 0 向左扩展补充较短的操作数。
        取反操作符只有一个操作数,它对操作数的每 1bit 数据进行取反操作。

        归约操作符

        归约操作符包括:归约与(&),归约与非(~&),归约或(|),归约或非(~|),归约异或(^),归约同或(~^)。
        归约操作符只有一个操作数,它对这个向量操作数逐位进行操作,最终产生一个 1bit 结果。
        逻辑操作符、按位操作符和归约操作符都使用相同的符号表示,因此有时候容易混淆。区分这些操作符的关键是分清操作数的数目,和计算结果的规则。

        移位操作符

        移位操作符包括左移(<<),右移(>>),算术左移(<<<),算术右移(>>>)
        移位操作符是双目操作符,两个操作数分别表示要进行移位的向量信号(操作符左侧)与移动的位数(操作符右侧)。
        算术左移和逻辑左移时,右边低位会补 0。
        逻辑右移时,左边高位会补 0;
        而算术右移时,左边高位会补充符号位,以保证数据缩小后值的正确性。

        拼接操作符

        拼接操作符用大括号 {,} 来表示,用于将多个操作数(向量)拼接成新的操作数(向量),信号间用逗号隔开。
        拼接符操作数必须指定位宽,常数的话也需要指定位宽。例如:

        条件操作符

        条件表达式有 3 个操作符,结构描述如下:
        计算时,如果 condition_expression 为真(逻辑值为 1),则运算结果为 true_expression;如果 condition_expression 为假(逻辑值为 0),则计算结果为 false_expression。
        其实,条件表达式类似于 2 路(或多路)选择器,其描述方式完全可以用 if-else 语句代替。
        当然条件操作符也能进行嵌套,完成一个多次选择的逻辑。例如:
        ⚠️
        注意条件运算符一定是从右向左进行连接的

        2.5 verilog编译指令

        以反引号`开始的某些标志符是verilog系统编译指令
        编译指令为verilog代码的撰写,编译和调试都提供了极大的便利

        `define `undef指令

        在编译阶段, `define 用于文本替换,在整个编译过程中都会有效
        则在另一个文件中也可以直接使用DATA_TW
        ⚠️
        注意define后面语句可以不加分号,如果带上分号,那么分号也是被代替的内容
        使用 `undef 来取消之前的宏定义

        `ifdef, `ifndef, `elsif, `else, `endif

        🦈
        这些都属于条件编译指令
        例如下面的例子中,如果定义了 MCU51,则使用第一种参数说明;如果没有定义 MCU、定义了 WINDOW,则使用第二种参数说明;如果 2 个都没有定义,则使用第三种参数说明。
         

        `include

        使用 `include 可以在编译时将一个 Verilog 文件内嵌到另一个 Verilog 文件中,作用类似于 C 语言中的 #include 结构。该指令通常用于将全局或公用的头文件包含在设计文件里。
        文件路径既可以使用相对路径,也可以使用绝对路径。

        `timescale

        在 Verilog 模型中,时延有具体的单位时间表述,并用 `timescale 编译指令将时间单位与实际时间相关联。
        该指令用于定义时延、仿真的单位和精度,格式为:
        time_unit 表示时间单位,time_precision 表示时间精度,它们均是由数字以及单位 s(秒),ms(毫秒),us(微妙),ns(纳秒),ps(皮秒)和 fs(飞秒)组成。时间精度可以和时间单位一样,但是时间精度大小不能超过时间单位大小,例如下面例子中,输出端 Z 会延迟 5.21ns 输出 A&B 的结果。
        在编译过程中,`timescale 指令会影响后面所有模块中的时延值,直至遇到另一个 `timescale 指令或 `resetall 指令。
        由于在 Verilog 中没有默认的 `timescale,如果没有指定 `timescale,Verilog 模块就有会继承前面编译模块的 `timescale 参数。有可能导致设计出错。
        如果一个设计中的多个模块都带有 `timescale 时,模拟器总是定位在所有模块的最小时延精度上,并且所有时延都相应地换算为最小时延精度,时延单位并不受影响。例如:
        在模块 AndFunc 中,5.207 对应 5.21ns。
        在模块 test 中,1.28 对应 13ns,3.1 对应 31ns。
        但是,当仿真 test 时,由于 AndFunc 中的最小精度为 100ps,因此 test 中的时延精度将进行重新调整。13ns 将对应 130*100ps,31ns 将对应 310*100ps。仿真时,时延精度也会使用 100ps。仿真时间单位大小没有影响。
        如果有并行子模块,子模块间的 `timescale 并不会相互影响。
        例如在模块 test 中再例化一个子模块 OrFunc。仿真 test 时,OrFunc 中的 #5.207 延时依然对应 52ns。
        此例中,仿真 test 时,OrFunc 中的 #5.207 延时依然对应 52ns。
        `timescale 的时间精度设置是会影响仿真时间的。时间精度越小,仿真时占用内存越多,实际使用的仿真时间就越长。所以如果没有必要,应尽量将时间精度设置的大一些。

        `default_nettype

        该指令用于为隐式的线网变量指定为线网类型,即将没有被声明的连线定义为线网类型
        该实例定义后,将不再自动产生 wire 型变量。
        例如下面第一种写法编译时不会报 Error,第二种写法编译将不会通过。

        `resetall

        该编译器指令将所有的编译指令重新设置为缺省值。
        `resetall 可以使得缺省连线类型为线网类型。
        当 `resetall 加到模块最后时,可以将当前的 `timescale 取消防止进一步传递,只保证当前的 `timescale 在局部有效,避免 `timescale 的错误继承。

        `celldefine, `endcelldefine

        这两个程序指令用于将模块标记为单元模块,他们包含模块的定义。例如一些与、或、非门,一些 PLL 单元,PAD 模型,以及一些 Analog IP 等。

        `unconnected_drive, `nounconnected_drive

        在模块实例化中,出现在这两个编译指令间的任何未连接的输入端口,为正偏电路状态或者为反偏电路状态。
        Machine LearningZJU——虚拟打卡
        Loading...
        fufu酱
        fufu酱
        一个爱折腾的大学生
        公告
        👋
        欢迎 欢迎来到fufu酱的blog! 💞️我是22级浙江大学竺可桢学院计算机科学与技术专业的学生 一个爱折腾的大学生 🌱我会在这个网站上更新我的笔记和工具分享 🌈目前all in MLLM 📫你可以用下面的方式联系到我
        🍀
        今後ともよろしくお願いします